Ndeep reactive ion etching pdf

During rie etching processes, volatile compounds are formed in interaction of sample surfaces and high. Beheim, deep reactive ion etching for bulk micromachining of silicon carbide, the mems handbook, editor gadel hak, chapter 21, 2002, pp. In this work the terms chemical sputtering 2 and reactive ion etching rie 3 were introduced. The first one is to vary the incident angle of the argon etching beam with the sample, removing by etching part of the redeposited material gather on the sidewalls. Deep reactive ion etching drie high etch rate, superior profile control, smooth surface, excellent selectivity for etch depths greater than 100 m deep etching of silicon, achieved using the bosch process or cryogenic microfabrication technologies, is routinely used for mems manufacturing to create deep microstructures with high aspect ratios. Deep reactive ion etching request pdf researchgate. Rie reactive ion etching represents a combination of physical and chemical erosion. Polymerization of fluorocarbons in reactive ion etching plasmas. Plasma etching techniques including rie, pe, icp, and drie. Reactive ion etching rie is a high resolution mechanism for etching materials using reactive gas discharges. A survey on the reactive ion etching of silicon in. We specialize in studying etch processes of nonconventional thinfilm materials such as copper, indium tin oxide, metal oxides, asi. The use of chlorofluorocarbons like cc12f2 freon 12 re duces the problems associated with the corrosiveness and toxicity of chlorine, although recent environmental con.

The primary technology of drie is the bosch process, which was named after the german company robert bosch which filed the original patent. Fedder, a direct plasma etch approach to high aspect ratio polymer micromachining with applications in biomems and cmosmems, the fifteenth ieee international. The plasma will etch in a downward direction with almost no sideways etching. Maximum achievable aspect ratio in deep reactive ion etching of silicon due to aspect ratio dependent transport and the microloading effect junghoon yeom, yan wu, john c.

Deep reactiveion etching drie is a highly anisotropic etch process used to create deep penetration, steepsided holes and trenches in waferssubstrates, typically with high aspect ratios. With the combination of wet and dry processing, rie is. It was developed for microelectromechanical systems mems, which require these features, but is also used to excavate trenches for highdensity. Reactive ion etching in the gaseous electronics conference rf reference cell volume 100 number 4 julyaugust 1995 m. Following this, the applications of the plasma modi. The basis of plasmaassisted etching, the main dry etching technique, is explained and plasma system. Reactive ion etching is a further development of reactive ion etching and was originally developed in the early 1990s by robert bosch gmbh.

The role of ions in reactive ion etching with low density. The spontaneous reactions which occur on both the sidewalls and the bottom account for the. Reactiveion etching rie of epitaxial, strained sil x gex alloys, x rie technique is most importance for delayering. The technique is commonly referred to as the bosch, drie, or dse. A versatile tool for microelectromechanical systems article pdf available in journal of micromechanics and microengineering 84. Reactive ion etching systems rie etch process plasma etch. It is a highly controllable process that can process a wide variety of materials, including.

Pdf fabrication of reactive ion etching systems for deep. Therefore most emphasis has been put on understanding the ion and radical kinetics, while relatively little attention has been paid to plasma polymerization. The plasma is generated under low pressure vacuum by an electromagnetic field. Drie provides anisotropic selective silicon etching and is the only way to provide fast, highaspect ratio silicon micromachining with precise feature definition and etch profile control. The most common plasma etch configurations are reactive ion etch rie, planar etch pe, inductively coupled. This reactive ion beam etching ribe is particularly useful as anisoptropic structures having. The coil rf power was varied from 500 to 800 w, while the platen power. Deep, steepsided holes and trenches can be easily created in the wafers using drie see figure 2. Reactive ion etching in the gaseous electronics conference. Modification of si100surfaces by sf6 plasma etching. The effect of plasma pretreatments reactive ion etching in sf 6 and sf 6 o 2 on sisi wafer direct bonding was investigated.

The coil rf power was varied from 500 to 800 w, while the platen power was set to 50 w. It focuses on concepts and topics for etching materials of interest in micromechanics. The potential of combining topdown fabrication methods with the. Silicon drie systems deep reactive ion etching samco inc. It refers to a parallel plate hardware arrangement where the substrate is placed on a biased electrode the cathode facing. The volatile compounds are removed from the sample surfaces, and isotropic or anisotropic. A high chemical reaction rate between the reactive ion species in the plasma and the glass surface was observed during the early stages of etching which lead to depletion of highly volatile species sif 4 and bf 3 and accumulation of less volatile species alf 3, baf 2, naf, etc. The most notable difference between reactive ion etching and isotropic plasma etching is the etch direction. Studies of the reactive ion etching of silicongermanium alloys. With the addition of our spts 200mm pegasus ase drie, we now offer deep reactive ion etch drie, a technique used in advanced mems device fabrication. Related content fabrication of micro nano dualscale structures by improved deep reactive ion etching guangyi sun, tianle gao, xin zhao et al. Dec 20, 2009 involves etching substrates at cryogenic temperatures, also using fluorinebased highdensity plasmas. Specially suitable for the failure analysis of integrated circuitic, the reactive ion etching rie technique is most importance for delayering. Selective plasma etching of polymeric substrates for advanced.

At longer times, the glass surface reached a steady state. The use of reactive gas allows for not only physical etching but also chemical etching via the reactive gas itself. Deep reactive ion etching is another thing altogether cl. Reactive ion etching rie is the simplest configuration of dry etching equipment. Maximum achievable aspect ratio in deep reactive ion etching. Reactive ion etching of sic induced surface damage, e.

It is a highly controllable process that can process a wide variety of materials, including semiconductors, dielectrics and some metals. Deep reactive ion etch drie rogue valley microdevices. Deep reactive ion etching for bulk micromachining of silicon. Reactive ion etching of inas, insb, and gasb in cci2f202 and. Etching in sf 6 caused a bonding behaviour generally known from hydrophobic hf etched samples, whereas adding o 2 to the feed gas caused the si100 surfaces to become hydrophilic and spontaneous bonding was achieved. Dry etching of silicon wafers was carried out in an inductively coupled plasma reactive ion etching icprie system alcatel, ams100. Pdf we have developed a deep reactive ion etching of pyrex glass in sf 6 plasma. This technology is based on multistep deep reactive ion etching technique developed in the 1990s for silicon based mems devices. We used a homemade magnetically enhanced, inductively coupled plasma reactive ion etcher meicprie. Deep reactiveion etching drie is used to produce highaspectratio structures in wafers. Chemically assisted ion beam etching caibe is a similar combination process where a chemically active gas jet and a broad inert ion beam have a synergistic effect. Therefore, the process is also called bosch process.

Plasma etching techniques are typically classed by the configuration of the electrodes. Optimization of the etching parameters of the ion milling. Parametric reactive ion etching of inp using cl2 and ch4 gases jae su yu and yong tak lee. While a standard rie process can be used, they are often inadequate so a couple of variations have been developed for specific applications. It refers to a parallel plate hardware arrangement where the substrate is placed on a biased electrode the cathode facing a grounded electrode. Reactive ion etching rie is a plasma etching technology to fabricate micro and nanostructures. Reactive ion etching systems rie plasma etching samco inc. On the contrary, the reactive ion etching and the neutral plasma chemical etching are found to be ef. During rie etching processes, volatile compounds are formed in interaction of sample surfaces and highenergy ionsradicals generated by lowpressure plasma. Rie uses chemically reactive plasma to remove material deposited on wafers. A high chemical reaction rate between the reactive ion species in the plasma and the glass surface was observed during the early stages of etching which lead to depletion of highly volatile species sif 4 and.

A plasma creates ions, and neutral species that are drawn to a biased electrode. Subsequently, directed beam studies 4 showed more clearly the synergism between energetic ions and chemically reactive species incident on surfaces on which the reactive species can react with the surface to form volatile products. Technical note deep reactive ion etching of auxetic structures. The main chemical reactions that occur in reactive ion etching are those due to. Cryogenic deep reactive ion etching of silicon micro and nanostructures manuscript submitted 7. Other articles where reactive ion etching is discussed. Ee143 f2010 lecture 14 etching university of california. Reactive ion etching induced surface damage of silicon carbide. Rie is like sputtering in the argon chamber, but the polarity is reversed and different gas mixtures are used. Samco was the first japanese semiconductor process equipment manufacturer to. High rate sapphire etching using bcl based inductively. Studies of the reactive ion etching of silicongermanium. 50, 3189 1979 breaks bonds, raises temperature locally on the surface and provides activation energy.

To decrease redeposition during the ion milling etching two approaches are exploited. Reactiveion etching rie is an etching technology used in microfabrication. Subsequently, directed beam studies 4 showed more clearly the synergism between energetic ions. Samco was the first japanese semiconductor process equipment manufacturer to offer drie systems using the bosch process. This reactive ion beam etching ribe is particularly useful as anisoptropic structures having straight sidewalls can be fabricated and etched material can be volatilized and pumped away vs. Reactiveion etching rie vs deep reactiveion etching drie. Deep reactive ion etching as a tool for nanostructure. The atoms on the surface of the wafer fly away, leaving it bare. Deep reactive ion etching drie is a highly anisotropic etch process used to create deep penetration, steepsided holes and trenches in wafers substrates, typically with high aspect ratios. In this article, we describe more than 100mm deep reactive ion etching rie. Here, chemically reactive radial is formed in plasma and accelerated towards the substrate.

Wafer dicing using dry etching on standard tapes and frames. With the combination of wet and dry processing, rie is used to perform deconstruction by keeping planar alignment across every levels of delayering. Dry etching with photoresist masks engineering research. The basis of plasmaassisted etching, the main dry etching technique, is explained and plasma system configurations are described such as reactive ion etching rie. The importance of ion bombardment for the etching rate is evident from the experimental results. The fabrication of patternable silicon nanotips using deep. Current rie processes lack the selectivity needed to etch a sic layer entirely through while minimally modifying an underlying silicon or silicon dioxide layer. Samco provides silicon deep reactive ion etching drie systems for mems device fabrication and tsv viahole etching. Deep reactiveion etching drie our research clients have provided feedback on which wafers they use for drie. While rie provides a much stronger etch, it also provides a directional etch. In most studies of sapphire dry etching, various halogen plasmas have been used. Reactive ion etching is an anisotropic processreactive ion etching is an anisotropic process.

Further work remains to be done, however, in developing rie processes with greater selectivity for sic. Rie is a type of dry etching which has different characteristics than wet etching. Reactive ion etching rie we are interested in understanding the plasma etching mechanism, which includes plasma phase chemistry, plasmasurface reactions, and surface reactions. While a standard rie process can be used, they are often inadequate so a couple of.

An important feature of rie is its ability to achieve etch directionality. Rie processes are known for higher pressure operating regimes. The most common plasma etch configurations are reactive ion etch rie, planar etch pe, inductively coupled plasma etch icp etch, or deep reactive ion etch drie etch. Shannona department of mechanical and industrial engineering, university of illinois at urbanachampaign, 1206 west green street, urbana, illinois 61801. The main chemical reactions that occur in reactive ion etching are those due to spontaneous etching and those due to ion assisted reactions. Fabrication of reactive ion etching systems for deep silicon machining article pdf available in ieej transactions on sensors and micromachines 1171. First, 5 h etching experiments using etching gases with 0%, 5%, 10% and 20% oxygen were performed by.

442 855 176 170 165 47 28 984 529 257 141 342 64 1480 407 331 517 1562 623 324 1525 1038 494 8 922 802 1230 843 119 698 729 918 1377 1014